调制器sigma-delta进行模拟我要分享

The modulator is simulated by sigma delta

调制器 sigma delta 模拟

关注次数: 278

下载次数: 0

文件大小: 22917KB

代码分类: 仿真计算

开发平台: matlab

下载需要积分: 1积分

版权声明:如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

代码描述

中文说明:

该档案是一份文件,我们可以对调制器sigma-delta进行模拟


English Description:

The file is a file, we can simulate the modulator sigma delta


代码预览